UVM::display 수준 및 표시 방식

827 단어 uvmvcs
`uvm_fatal("CFG", "config error");
`uvm_error("ERROR", "address error");
`uvm_warning("WARN", "warning message");
이 세 개는 모두 표시될 것이다.
`uvm_info("module", "description", UVM_LOW);
`uvm_info("module", "description", UVM_MEDIUM);
`uvm_info("module", "description", UVM_HIGH);
`uvm_info("module", "description", UVM_FULL);
`uvm_info("module", "description", UVM_DEBUG);
LOW
LOW가 가장 적게 표시되고 DEBUG가 가장 많이 표시됩니다.
UVM_ 사용 가능VERBOSITY=UVM_LOW 등으로 디스플레이 레벨을 구성합니다.
./simv +UVM_TESTNAME=hello_world +UVM_VERBOSITY=UVM_DEBUG

 `uvm_info("TEST", "hello_world", UVM_MEDIUM); 결과 표시:
uvm_test_top [TEST] hello_world

좋은 웹페이지 즐겨찾기