uvm UVM::display 수준 및 표시 방식 `uvm_fatal("CFG", "config error"); `uvm_error("ERROR", "address error"); `uvm_warning("WARN", "warning message"); 이 세 개는 모두 표시될 것이다. `uvm_info("module", "description", UVM_LOW); `uvm_info("module", "description", UVM_MED... uvmvcs
UVM::display 수준 및 표시 방식 `uvm_fatal("CFG", "config error"); `uvm_error("ERROR", "address error"); `uvm_warning("WARN", "warning message"); 이 세 개는 모두 표시될 것이다. `uvm_info("module", "description", UVM_LOW); `uvm_info("module", "description", UVM_MED... uvmvcs