Mac에서 Verilog 환경 구축 (Big Sur 지원 버전)

LSI 메이커에 취직한 친구와 Verilog를 이용한 디지털 회로 설계의 공부를 하게 되었습니다. macOS BigSur에 대응한 환경 구축 방법을 비망록으로 남겨두려고 생각합니다.

이번에 구축한 환경


  • macOS 11.3.1 Big Sur
  • Icarus Verilog 11.0
  • GTKWave 3.3.107
  • XQuartz 2.8.1

  • Icarus Verilog 설치



    Verilog 실행 환경으로 무료 Icarus Verilog를 설치합니다. 설치에는 Homebrew를 사용한다고 가정합니다.
    brew install icarus-verilog
    

    동작 확인
    iverilog 명령을 실행하여 다음과 같이 표시되면 설치 성공입니다.
    $ iverilog 
    iverilog: no source files.
    
    Usage: iverilog [-EiSuvV] [-B base] [-c cmdfile|-f cmdfile]
                    [-g1995|-g2001|-g2005|-g2005-sv|-g2009|-g2012] [-g<feature>]
                    [-D macro[=defn]] [-I includedir] [-L moduledir]
                    [-M [mode=]depfile] [-m module]
                    [-N file] [-o filename] [-p flag=value]
                    [-s topmodule] [-t target] [-T min|typ|max]
                    [-W class] [-y dir] [-Y suf] [-l file] source_file(s)
    
    See the man page for details.
    


    GTKWave 설치



    디지털 회로 설계에서는 High/Low 신호 파형을 다룹니다. 그래서 파형 그리기 소프트웨어 GTKWave를 설치합니다. GTKWave는 단독으로 설치할 수 없으며 XQuartz를 동시에 설치합니다.
    brew install --cask xquartz gtkwave
    

    GTKWave 시작



    Launcher에서 GTKWave를 시작합니다. 처음 시작할 때는 다음 화면이 표시되며, 시작할 수 없습니다. 여기에서 キャンセル를 클릭하십시오.


    システム環境設定를 시작하고 보안 및 개인 정보를 시작합니다.


    ダウンロードしたアプリケーションの実行許可: 에 ""gtkwave.app"는 개발자를 확인할 수 없으므로 사양이 차단되었습니다."라고 표시됩니다. 오른쪽 옆의 このまま開く를 클릭하십시오. 다음 창이 표시됩니다. 開く 를 클릭합니다.
    root 암호를 입력하라는 메시지가 표시되면 입력합니다.



    성공적으로 시작하면 다음 창이 시작됩니다.



    요약



    최신 macOS에 VerilogHDL 환경을 구축하는 방법의 비망록 메모를 정리했습니다. 향후 디지털 회로 설계의 공부에 활용해 가고 싶습니다.

    Reference


  • MacOS에서의 verilogHDL 개발 비망록(icarus verilog편)
  • 좋은 웹페이지 즐겨찾기