Dual port RAM with enable on each port( vhdl )
8202 단어 each
1 -- Dual port RAM with enable on each port
2 -- Xilinx rams_14
3
4 library ieee;
5 use ieee.std_logic_1164.all;
6 use ieee.std_logic_unsigned.all;
7
8 entity dp_ram is
9 port(clk : in std_logic;
10 ena : in std_logic;
11 enb : in std_logic;
12 wea : in std_logic;
13 addra : in std_logic_vector(10 downto 0);
14 addrb : in std_logic_vector(10 downto 0);
15 dia : in std_logic_vector(7 downto 0);
16 doa : out std_logic_vector(7 downto 0);
17 dob : out std_logic_vector(7 downto 0)
18 );
19 end dp_ram;
20
21 architecture rtl of dp_ram is
22 type ram_type is array(2047 downto 0) of std_logic_vector(7 downto 0);
23 signal RAM : ram_type;
24 signal read_addra : std_logic_vector(10 downto 0);
25 signal read_addrb : std_logic_vector(10 downto 0);
26 begin
27
28 process(clk)
29 begin
30 if rising_edge(clk) then
31 if ena = '1' then
32 if wea = '1' then
33 RAM(conv_integer(addra)) <= dia;
34 end if;
35 read_addra <= addra;
36 end if;
37 if enb = '1' then
38 read_addrb <= addrb;
39 end if;
40 end if;
41 end process;
42
43 doa <= RAM(conv_integer(read_addra));
44 dob <= RAM(conv_integer(read_addrb));
45
46 end rtl;
이 내용에 흥미가 있습니까?
현재 기사가 여러분의 문제를 해결하지 못하는 경우 AI 엔진은 머신러닝 분석(스마트 모델이 방금 만들어져 부정확한 경우가 있을 수 있음)을 통해 가장 유사한 기사를 추천합니다:
JQuery는 배열을 사용하여 각 순환을 반복합니다.0. 앞말 아마도 우리는 jquery의 순환 방법을 통해 수조를 반복할 수 있지만, 조건에 부합되지 않을 때, 어떻게 현재 순환에서 벗어날 수 있습니까?(즉, each 방법으로 조건이 충족되지 않을 때break가 순...
텍스트를 자유롭게 공유하거나 복사할 수 있습니다.하지만 이 문서의 URL은 참조 URL로 남겨 두십시오.
CC BY-SA 2.5, CC BY-SA 3.0 및 CC BY-SA 4.0에 따라 라이센스가 부여됩니다.